Compact circuits


Miniaturize a whole logic network into a single entity to save place (similar to factorissimo but for signals).

Content
17 hours ago
1.1
6.72K
Circuit network

i Suggestion: Reduce the editor area for 1x1 processor

1 year, 7 months ago

Since 1x1 should be "weaker", it should also have smaller internal area for placing combinators.

1 year, 7 months ago

I want to have a 5 digit counter in a 1x1 combinators. I need space to build it (see figures)

New response