Compact circuits


Miniaturize a whole logic network into a single entity to save place (similar to factorissimo but for signals).

Content
a month ago
1.1
6.19K
Circuit network
Direction:
This mod 5 From other mods 11
Dependency types:
Default 5 Required 2 Conflict 0 Optional 3 Hidden 0
Sort by:
Default Type Name Version Downloads
Dependency 1.21M
base >= 1.1 -
?
IndustrialDisplayPlates 15.3K
?
Squeak Through 429K
flib >= 0.11.2 725K
?
PickerDollies 42.3K
Last dependency data update: 5 hours ago (for v1.1.3)