Compact circuits


Miniaturize a whole logic network into a single entity to save place (similar to factorissimo but for signals).

Content
16 hours ago
1.1
6.72K
Circuit network

g 1.06 Crashing

1 year, 8 months ago

Sorry, there is a hidden depency on "flib". I add it. I should be ok.

1 year, 8 months ago

I'll give it a shot. Thanks for making an awesome mod!

New response