Utilities
1 year, 1 month ago
1.1
118
Circuit network

b Incorrect entity and signal names

1 year, 1 month ago

Hello!

Entity name displays "Unknown key: entity-name.global-combinator", virtual signal name displays "Unknown key: virtual-signal-name.signal-global-variable-combinator"

1 year, 1 month ago

Oh, yeah, I never added proper names. Honestly, I just made this for myself, then hastily posted it when I thought it could help someone on Reddit.
I'll upload a new version with that, though.

New response